Research Catalog

Materials, technology and reliability for advanced interconnects and low-k dielectrics : symposium held April 13-15 2004, San Francisco, California, U.S.A.

Title
Materials, technology and reliability for advanced interconnects and low-k dielectrics : symposium held April 13-15 2004, San Francisco, California, U.S.A. / editors, R.J. Carter [and others].
Publication
Warrendale : Materials Research Society, 2004.

Items in the Library & Off-site

Filter by

1 Item

StatusFormatAccessCall NumberItem Location
TextRequest in advance TK7871.85 .M36783 2004gOff-site

Holdings

Details

Additional Authors
Carter, R. J.
Description
xiii, 402 pages : illustrations; 24 cm.
Series Statement
Materials Research Society symposia proceedings ; v. 812
Uniform Title
Materials Research Society symposia proceedings ; v. 812.
Subjects
Contents
  • Molecular caulk : a pore sealing technology for ultra-low-k dielectrics / Jay J. Senkevich, Christopher Jezewski, Deli Lu, William A. Lanford, Gwo-Ching Wang and Toh-Ming Lu -- Repair of porous methylsilsesquioxane films using supercritical carbon dioxide / Bo Xie and Anthony J. Muscat -- Processing damage and electrical performance of porous dielectrics in narrow spaced interconnects / F. Iacopi, Y. Travaly, M. Stucchi, H. Struyf, S. Peeters, R. Jonckheere, L. H. A. Leunissen, Zs. Tokei, V. Sutcliffe, O. Richard, M. Van Hove and K. Maex -- New hybrid low-k dielectric materials prepared by vinylsilane polymerization / Jung-Won Kang, Byung Ro Kim, Gwi-Gwon Kang, Myung-Sun Moon, Bum-Gyu Choi and Min-Jin Ko -- Novel epoxy siloxane polymer as low-k dielectric / Pei-I. Wang, Jasbir S. Juneja, Shyam Murarka, Toh-Ming Lu, Ram Ghoshal and Rajat Ghoshal -- Supercritical CO[subscript 2] treatments for semiconductor applications / S. Gangopadhyay, J. A. Lubguban, B. Lahlouh, G. Sivaraman, K. Biswas, T. Rajagopalan, N. Biswas, H.-C. Kim, W. Volksen and R. D. Miller -- Comparative studies of ultra low-k porous silica films with 2D hexagonal and disordered pore structures / Nobutoshi Fujii, Kazuhiro Yamada, Yoshiaki Oku, Nobuhiro Hata, Yutaka Seino, Chie Negoro and Takamaro Kikkawa -- 3-Dimensional evaluation of nm-pores in porous low-k films using TEM stereoscopic/electron tomographic observation method / J. Shimanuki, Y. Inoue, M. Shimada and S. Ogawa -- Ellipsometric porosimetry of porous low-k films with quazi-closed cavities / Mikhail R. Baklanov, Konstantin P. Mogilnikov and Jin-Heong Yim -- Effect of mode-mixity and porosity on interfacial fracture of low-k dielectrics / Caroline C. Merrill and Paul S. Ho -- Anisotropic elastic properties of low-k dielectric materials / A. A. Maznev, A. Mazurenko, G. Alper, C. J. L. Moore, M. Gostein, Michelle T. Schulberg, Raashina Humayun, Archita Sengupta and Jia-Ning Sun -- Scanning near-field microwave probe for in-line metrology of low-k dielectrics / Vladimir V. Talanov, Robert L. Moreland, Andre Scherz, Andrew R. Schwartz and Youfan Liu -- Deposition and integration of a novel ultra-low-k (2.2) material / Michelle T. Schulberg, Raashina Humayun, Archita Sengupta and Jia-Ning Sun -- A novel organosiloxane vapor annealing process for improving elastic modulus of porous low-k films / Kazuo Kohmura, Shunsuke Oike, Masami Murakami, Hirofumi Tanaka, Syozo Takada, Yutaka Seino and Takamaro Kikkawa -- Probing effects of etching plasmas on the properties of porous low-k dielectrics / L. Wang, J. Liu, W. D. Wang, D. Z. Chi, D. W. Gidley and A. F. Yee -- Dry etch and wet clean process characterization of ultra low-k (ULK) material nanoglassE / B. Ramana Murthy, C. K. Chang, Ahilakrishnamoorthy, Y. W. Chen and Ananth Naman -- Modification of nanoporous silica structures by fluorocarbon plasma treatment / Woojin Cho, Ravi Saxena, Oscar Rodriguez, Ravi Achanta, Manas Ojha, Joel L. Plawsky, William N. Gill and Mikhail R. Baklanov -- Chemical routes to improved mechanical properties of PECVD low-k thin films / S. M. Bilodeau, A. S. Borovik, A. A. Ebbing, D. J. Vestyck, C. Xu, J. F. Roeder and T. H. Baum -- Optimization of dielectric cap adhesion to ultra-low-k dielectrics / Greg Spencer, Alfred Soyemi, Kurt Junker, Jason Vires, Michael Turner, Stuart Kirksey, David Sieloff and Narayanan Ramani -- Self-assembled monolayers as model substrates for atomic layer deposition / Caroline M. Whelan, Anne-Cecile Demas, Jorg Schuhmacher, Laureen Carbonell and Karen Maex -- Ruthenium sputter deposition on organosilicate glass and on paralyne : an XPS study of interfacial chemistry, nucleation and growth / X. Zhao, N. P. Magtoto and J. A. Kelber -- In situ XPS study of ALD Ta(N) barrier formation on organosilicate dielectric surface / Junjun Liu, Junjing Bao, Michael Scharnberg and Paul S. Ho -- Nucleation and growth dependence of ALD WNC on substrate surface condition / Thomas Abell, Jorg Schuhmacher, Youssef Travaly and Karen Maex -- Evaluation of PECVD deposited boron nitride as copper diffusion barrier on porous low-k materials / J. Liu, W. D. Wang, L. Wang, D. Z. Chi and K. P. Loh -- Structural and functional characterization of W-Si-N sputtered thin films for copper metallizations / Alberto Vomiero, Stefano Frabboni, Enrico Boscolo Marchi, Alberto Quaranta, Gianantonio Della Mea, Gino Mariotto and Laura Felisari -- Barrier layers morphological stability and adhesion to porous low-k dielectrics / R. Saxena, W. Cho, O. Rodriguez, W. N. Gill and J. L. Plawsky -- Atomic layer deposition of tantalum nitride on organosilicate and organic polymer-based low dielectric constant materials / Oscar van der Straten, Yu Zhu, Jonathan Rullan, Katarzyna Topol, Kathleen Dunn and Alain Kaloyeros -- Effect of annealing on the structural, mechanical and tribological properties of electroplated Cu thin films / P. Shukla, A. K. Sikder, P. B. Zantye, Ashok Kumar and M. Sanganaria -- Thermal conductivity of carbon nanotube composite films / Quoc Ngo, Brett A. Cruden, Alan M. Cassell, Megan D. Walker, Qi Ye, Jessica E. Koehne, M. Meyyappan, Jun Li and Cary Y. Yang -- Silver patterning by reactive ion beam etching for microelectronics application / L. Gao, J. Gstoettner, R. Emling, P. Wang, W. Hansch and D. Schmitt-Landsiedel -- Free-standing line patterns of nanocrystalline electrodeposits / Karen Pantleon, Henrik Myhre Jensen and Marcel A. J. Somers -- Pulsed MOCVD of Cu seed layer using a (Hfac)Cu(3,3-dimethyl-1-butene) source plus H[subscript 2] reactant / Jaebum Park, Heejung Yang and Jaegab Lee -- Preparation and characterization of copper film on plastic substrate by ECR-MOCVD coupled with a DC bias / Bup Ju Jeon and Joong Kee Lee -- Thermal stability and electrical properties of Ag(Al) metallization / Hyunchul C. Kim, N. David Theodore, James W. Mayer and Terry L. Alford -- Morphology of Ti[subscript 37]Al[subscript 63] thin-films deposited by magnetron sputtering / N. David Theodore, Hyunchul C. Kim, Kaustubh S. Gadre, James W. Mayer and Terry L. Alford -- Reliability of dielectric barrier films in copper damascene applications / Albert S. Lee, Annamalai Lakshmanan, Nagarajan Rajagopalan, Zhenjiang Cui, Maggie Le, Li Qun Xia, Bok Heon Kim and Hichem M'Saad -- Effect of surface chemistry on the diffusion of copper in nanoporous dielectrics / Oscar Rodriguez, Woojin Cho, Ravi Saxena, Ravi Achanta, William N. Gill and Joel L. Plawsky -- MEMS metallization / Christian Lohmann, Knut Gottfried, Andreas Bertz, Danny Reuter, Karla Hiller, Michael Kuhn and Thomas Gessner -- Chlorine-based reactive ion etching process to pattern platinum for MEMS applications / Sung H. Choi, Jon V. Osborn and Brent A. Morgan -- Silver metallization with reactively sputtered TiN diffusion barrier films / L. Gao, J. Gstottner, R. Emling, Ch. Linsmeier, M. Balden, A. Wiltner, W. Hansch and D. Schmitt-Landsiedel -- Electrical behavior of nano-scaled interconnects / M. Engelhardt, G. Schindler, W. Steinhogl, G. Steinlesberger and M. Traving -- The influence of temperature and concentration on copper deposition kinetics in supercritical carbon dioxide / Yinfeng Zong and James J. Watkins -- Process-oriented stress modeling and stress evolution during Cu/low-k BEOL processing / Charlie Jun Zhai, Paul R. Besser, Frank Feustel, Amit Marathe and Richard C. Blish II -- Ultra low-dielectric-constant materials for 65 nm technology node and beyond / Hao Cui, Darren Moore, Richard Carter, Masaichi Eda, Peter Burke, David Gidley and Huagen Peng -- Cross-section nano-indentation for rapid adhesion evaluation / S. H. Brongersma, Dominiek Degryse, Jerome Souiller, Bart Vandevelde and K. Maex -- Adhesion strength evaluation of low-k interconnect structures using a nanoscratch method / Jiping Ye, Kenichi Ueoka, Nobuo Kojima, Junichi Shimanuki, Miyoko Shimada and Shinichi Ogawa --
  • Effect of aqueous solution chemistry on the accelerated cracking of lithographically patterned arrays of copper and nanoporous thin films / E. P. Guyer and R. H. Dauskardt -- Fundamental limits for 3D wafer-to-wafer alignment accuracy / M. Wimplinger, J.-Q. Lu, J. Yu, Y. Kwon, T. Matthias, T. S. Cale and R. J. Gutmann -- Optical interconnect components for wafer level heterogeneous hyper-integration / P. D. Persans, M. Ojha, R. J. Gutmann, J.-Q. Lu, A. Filin and J. Plawsky -- Evaluation of thin dielectric-glue wafer-bonding for three dimensional integrated circuit-applications / Y. Kwon, J. Yu, J. J. McMahon, J.-Q. Lu, T. S. Cale and R. J. Gutmann -- Three dimensional interconnect stress modeling for back end process / Xiaopeng Xu and Victor Moroz -- Thermomechanical stresses in copper interconnect/low-k dielectric systems / Y.-L. Shen and E. S. Ege -- Mortality dependence of Cu dual damascene interconnects on adjacent segment / C. W. Chang, C. L. Gan, C. V. Thompson, K. L. Pey, W. K. Choi and N. Hwang -- Unexpected mode of plastic deformation in Cu damascene lines undergoing electromigration / Arief S. Budiman, N. Tamura, B. C. Valek, K. Gadre, J. Maiz, R. Spolenak, W. A. Caldwell, W. D. Nix and J. R. Patel -- Coupling between precipitation and plastic deformation during electromigration in a passivated Al (0.5wt%Cu) interconnect / R. I. Barabash, G. E. Ice, N. Tamura, B. C. Valek, R. Spolenak, J. C. Bravman and J. R. Patel -- Effect of mass transport along interfaces and grain boundaries on copper interconnect degradation / Ehrenfried Zschech, Moritz A. Meyer and Eckhard Langer -- Fatal void size comparisons in via-below and via-above Cu dual-damascene interconnects / Z.-S. Choi, C. L. Gan, F. Wei, C. V. Thompson, J. H. Lee, K. L. Pey and W. K. Choi -- Statistical analysis of electromigration lifetimes and void evolution for Cu interconnects / M. Hauschildt, M. Gall, S. Thrasher, P. Justison, L. Michaelson, R. Hernandez, H. Kawasaki and P. S. Ho -- Stressmigration studies on dual damascene Cu/oxide and Cu/low-k interconnects / Won-Chong Baek, Paul S. Ho, Jeong Gun Lee, Sung Bo Hwang, Kyeong-Keun Choi and Jong Sun Maeng -- Textural evolution of Cu damascene interconnects after annealing / Jae-Young Cho, Hyo-Jong Lee, Hyoungbae Kim and Jerzy A. Szpunar.
ISBN
1558997628
OCLC
  • 506484438
  • ocn506484438
  • SCSB-5084665
Owning Institutions
Columbia University Libraries