Research Catalog

Materials, technology and reliability for advanced interconnects--2005 : symposium held March 28-April 1, 2005, San Francisco, California, U.S.A

Title
Materials, technology and reliability for advanced interconnects--2005 : symposium held March 28-April 1, 2005, San Francisco, California, U.S.A / editors: Paul R. Besser [and others].
Publication
Warrendale, Pa. : Materials Research Society, [2005], ©2005.

Items in the Library & Off-site

Filter by

1 Item

StatusFormatAccessCall NumberItem Location
TextRequest in advance TK7871.85 .M3454 2005gOff-site

Holdings

Details

Additional Authors
  • Besser, Paul R.
  • Materials Research Society. Fall Meeting (2005 : San Francisco, Calif.)
  • Symposim on Materials, Technology and Reliability for Advanced Interconnects (2005 : San Francisco, Calif.)
Description
xiii, 411 pages : illustrations; 24 cm.
Series Statement
Materials Research Society symposium proceedings ; v. 863
Uniform Title
Materials Research Society symposia proceedings ; v. 863.
Subjects
Note
  • Previous symposium entitled : Materials, technology and reliability for advanced interconnects and low-k dielectrics.
  • "Symposium B, 'Materials, Technology and Reliability of Advanced Interconnects,' [was] held March 28-April 1 at the 2005 MRS Spring Meeting in San Francisco, California ..."--Pref.
Bibliography (note)
  • Includes bibliographical references and indexes.
Contents
  • Constraint effects on cohesive failures in low-k dielectric thin films / Ting Y. Tsui, Andrew J. McKerrow and Joost J. Vlassak -- A new technique for the characterization of the adhesion in integrated circuit interconnect structures / Ibon Ocana, Jon M. Molina, Diego Gonzalez, M. Reyes Elizalde, Jose M. Sanchez, Jose M. Martinez-Esnaola, Javier Gil-Sevillano, Tracey Scherban, Daniel Pantuso, Brad Sun, Guanghai Xu, Barbara Miner, Jun He and Jose A. Maiz -- Mechanical properties of porous MSQ films : impact of the porogen loading and matrix crosslinking / F. Ciaramella, V. Jousseaume, S. Maitrejean, B. Remiat, M. Verdier and G. Passemard -- High-temperature nanoindentation measurement for hardness and modulus evaluation of low-k films / Jiping Ye, Nobuo Kojima, Satoshi Shimizu and James M. Burkstrand -- Depth-profiling pore morphology in nanoporous thin films using positronium lifetime annihilation spectroscopy / Richard S. Vallery, Hua-Gen Peng, William E. Frieze, David W. Gidley, Darren L. Moore and Richard J. Carter -- Solid state MAS NMR spectroscopic characterization of plasma damage and UV modification of low-k dielectric films / Thomas Abell, Kristof Houthoofd, Francesca Iacopi, Piet Grobet and Karen Maex -- Pore structure and integration performance of a porous CVD ultra low-k dielectric / Youfan Liu, Andreas Knorr, Wen-Li Wu, David Gidley and Bernd Kastenmeier -- Ultra low-k PECVD porogen approach : matrix precursors comparison and porogen removal treatment study / L. Favennec, V. Jousseaume, V. Rouessac, J. Durand and G. Passemard -- Determining pore structure and growth mechanisms in templated nanoporous low-k films / Hua-Gen Peng, Richard S. Vallery, Ming Liu, William E. Frieze, David W. Gidley, Jin-Heong Yim, Hyun-Dam Jeong and Jongmin Kim -- Fracture property improvements of a nanoporous thin film via post deposition bond modifications / Jeannette M. Jacques, Ting Y. Tsui, Andrew J. McKerrow and Robert Kraft -- Effect of plasma treatment and TMCTS vapor annealing on the reinforcement of porous low-k films / Kazuo Kohmura, Hirofumi Tanaka, Shunsuke Oike, Masami Murakami, Tetsuo Ono, Yutaka Seino and Takamaro Kikkawa -- High strength low dielectric constant aromatic thermosets / Yongqing Huang and James Economy -- Effect of dielectric pore size distribution on interfacial adhesion of the Tantalum-Porous dielectric interface / R. Saxena, W. Cho, O. Rodriguez, W. N. Gill, J. L. Plawsky, T. Tsui and S. Grunow -- CVD boron carbo-nitride as pore sealant for ultra low-k interlayer dielectrics / P. Ryan Fitzpatrick, Sri Satyanarayana, Yangming Sun, John M. White and John G. Ekerdt -- Employing thin film failure mechanisms to form templates for nano-electronics / Rainer Adelung, Mady Elbahri, Shiva Kumar Rudra, Abhijit Biswas, Said Jebril, Rainer Kunz, Sebastian Wille and Michael Scharnberg -- Stress generation in PECVD silicon nitride thin films for microelectronics applications / M. Belyansky, N. Klymko, A. Madan, A. Mallikarjunan, Y. Li, A. Chakravarti, S. Deshpande, A. Domenicucci, S. Bedell, E. Adams, I. Coffin, L. Tai, S.-P. Sun, J. Widodo and C.-W. Lai -- A novel organic low-k film deposited by plasma-enhanced co-polymerization / Nobutaka Kunimi, Jun Kawahara, Keizo Kinoshita, Akinori Nakano, Masashi Komatsu and Takamaro Kikkawa -- Mesoporous low dielectric poly(silsesquioxane) thin films templated by various surfactants / Jingyu Hyeon-Lee, Jong-Baek Seon, Myungsup Jung and Jongmin Kim -- A spin-on dielectric material for high aspect ratio gap fill / Wei Chen, Sheng Wang, Ather Ashraf, Edward Somerville, Gerard Nowaczyk, B. K. Hwang, J. K. Lee, Eric S. Moyer, Carlo Waldfried, Orlando Escocia and Qingyuan Han -- New carbon-bridged hybrid polymers for low-k materials / Bum-Gyu Choi, Byung Ro Kim, Myung-Sun Moon, Jung-Won Kang and Min-Jin Ko -- Capacitance measurement technique for determining the out-of-plane coefficient of thermal expansion for low-k dielectrics / Swarnal Borthakur, Andreas Knorr, Paul S. Ho and Wen-Li Wu -- Determination of elastic modulus and yield stress of ultra-thin Cu and low-k films using spherical nanoindentation measurement / Satoshi Shimizu, Nobuo Kojima and Jiping Ye -- Application of nanoindentation to characterize fracture in ILD films used in the BEOL / Eva E. Simonyi, E. Liniger, M. Lane, Q. Lin, C. D. Dimitrakopoulos and C. Tyberg -- Nanoporous materials integration into advanced microprocessors / E. Todd Ryan, Cathy Labelle, Satya Nitta, Nicholas C. M. Fuller, Griselda Bonilla, Kenneth McCullough, Charles Taft, Hong Lin, Andrew Simon, Eva Simonyi, Kelly Malone, Muthumanickam Sankarapandian, Derren Dunn, Mary Ann Zaitz, Steve Cohen, Nancy Klymko, Bum Ki Moon, Zijian Li, Shuang Li, Yushan Yan, Junjun Liu and Paul S. Ho -- Double-layered structure of surface modification of low-k dielectrics induced by He plasma / Ken-ichi Yanai, Tadayoshi Hasebe, Kouji Sumiya, Seiki Oguni and Kazuhiro Koga -- Nanoscale observation of dielectric damage to low-k MSQ interconnects from reactive ion etching and ash treatment / Todd S. Gross, Shaoning Yao and Sri Satyanarayana -- First pass study of surface modified porous low-k by ion implantation for zero thickness barrier requirement of Cu/MSQ/Si stacks in copper metallization scheme / Alok Nandini U. Roy, Zubin P. Patel and H. Bakhru -- Observation of intrusion rates of hexamethyldisilazane during supercritical carbon dioxide functionalization of triethoxyfluorosilane low-k films / P. M. Capani, P. D. Matz, D. W. Mueller, M. J. Kim, E. R. Walter, J. T. Rhoad, E. L. Busch and R. F. Reidy -- Integration of a polymer etch stop layer in a porous low-k MLM structure / Gregory C. Smith, Neil Henis, Richard McGowan, Brian White, Matthias Kraatz, Sri Satyanarayana, Sharath Hosali, Youfan Liu and Klaus Pfeifer -- Poly(ethynyl-p-xylylene), an advanced molecular caulk CVD polymer / Brad P. Carrow, Rex E. Murray, Benjamin W. Woods and Jay J. Senkevich -- The effect of methylating treatments on the dielectric reliability of low-k/Cu structures / Swarnal Borthakur, Sri Satyanarayana, Andreas Knorr and Paul S. Ho -- Structure evolution in plated Cu films / D. P. Field, N. J. Park, P. R. Besser and J. E. Sanchez, Jr. -- Effect of temperature on incubation time for spontaneous morphology change in electrodeposited copper metallization / S. Ahmed, D. N. Buckley, S. Nakahara and Y. Kuo -- Plasma-assisted atomic layer deposition of TiN films at low deposition temperature for high-aspect ratio applications / S. B. S. Heil, E. Langereis, F. Roozeboom, A. Kemmeren, N. P. Pham, P. M. Sarro, M. C. M. van de Sanden and W. M. M. Kessels -- Integration of ALD-TaN liners on nanoporous dielectrics / Bum Ki Moon, Tadashi Iijima, Sandra Malhotra, Andrew Simon, Thomas Shaw, E. Todd Ryan, Cathy Labelle, Nick Fuller, Tibor Bolom, Derren Dunn, Philip Flaitz, Sanjay Mehta, Keishi Inoue and Vincent McGahay -- Thermal oxidation of Cu interconnects capped with CoWP / J. Gambino, S. Smith, S. Mongeon, D. Meatyard, F. Chen and P. DeHaven -- Advanced Al damascene process for fine trench under 70 nm design rule / Sung Ho Han, Kyung-in Choi, Sera Yun, Jeong Heon Park, Won Sok Lee, Sang Woo Lee, Gil Heyun Choi, Change Kee Hong, Sung Tae Kim, Uin Chung, Joe Tae Moon and Byung-Il Ryu -- Effect of microstructure and dielectric materials on stress-induced damages in damascene Cu/low-k interconnects / Young-Chang Joo, Jong-Min Paik and Jung-Kyu Jung -- Comparison of line stress predictions with measured electromigration failure times / Rao R. Morusupalli, William D. Nix, Jamshed R. Patel and Arief S. Budiman -- Stress-induced void formation in passivated Cu films / Dongwen Gan, Bin Li and Paul S. Ho -- Barrier integrity effect on leakage mechanism and dielectric reliability of copper/OSG interconnects / Yunlong Li, Zsolt Tokei, Tushar Mandrekar, Bencherki Mebarki, Guido Groeseneken and Karen Maex --
  • Multi-via electromigration test structures for identification and characterization of different failure mechanisms / Z.-S. Choi, C. W. Chang, J. H. Lee, C. L. Gan, C. V. Thompson, K. L. Pey and W. K. Choi -- Analysis of electromigration-induced void motion and surface oscillations in metallic thin-film interconnects / Jaeseol Cho, M. Rauf Gungor and Dimitrios Maroudas -- Fundamentals of Cu/barrier-layer adhesion in microelectronic processing / Harsono Simka, Sadasivan Shankar, Carolyn Duran and Michael Haverty -- Effect of current direction on the reliability of different capped Cu interconnects / C. L. Gan, C. Y. Lee, C. K. Cheng and J. Gambino -- Microstructure evolution during electric current induced thermomechanical fatigue of interconnects / Robert R. Keller, Roy H. Geiss, Yi-wen Cheng and David T. Read -- Characterization of temporary extrusion failures in quarter-micron copper interconnects / Yan Zhang, Junho Choy, Glenn H. Chapman and Karen L. Kavanagh -- TEM-based analysis of defects induced by AC thermomechanical versus microtensile deformation in aluminum thin films / R. H. Geiss, K. K. Keller, D. T. Read and Y.-W. Cheng -- Electromigration of electroplated gold interconnects / Steve Kilgore, Craig Gaw, Haldane Henry, Darrell Hill and Dieter Schroder -- Degradation of fracture and fatigue properties of MEMS structures under cyclic loading / Jong-jin Kim and Dongil Kwon -- Flip chip reliability of GaAs on Si thin film substrates using AuSn solder bumps / Hermann Oppermann, Matthias Hutter, Matthias Klein, Gunter Engelmann, Michael Toepper and Jurgen Wolf -- Modeling the impact of packaging stress on device performance / Xiaopeng Xu and Victor Moroz -- Material reliability and integration issues of polyimide and benzocyclobuteue interlayer dielectric materials / Parshuram B. Zantye, Ashok Kumar, R. Gopalkrishnan and S. Balakumar -- Analysis of the interfacial reaction between Sn-3.5Ag and electroplating interlayers / S. M. Yang, Y. Y. Chang and Weite Wu -- The effect of immersion Sn coating on the electromigration failure mechanism and lifetimes of Cu dual damascene interconnects / Minyu Yan, King-Ning Tu, Anand V. Vairagar, Subodh G. Mhaisalkar and Ahila Krishnamoorthy -- Synchrotron X-ray micro-diffraction analysis on microstructure evolution in Sn under electromigration / Albert T. Wu, N. Tamura, J. R. Lloyd, C. R. Kao and K. N. Tu -- Effect of electromigration on mechanical behavior of solder joints / Fei Ren, Jae-Woong Nah, Hua Gan, Jong-ook Suh, King-Ning Tu, Bingshou Xiong, Luhua Xu and John Pang -- Morphology change, size distribution, and nano-sized channels in Cu[subscript 6]Sn[subscript 5] intermetallic compound formation at the SnPb solder and copper interface / J. O. Suh, K. N. Tu and A. M. Gusak -- A study of impact reliability of lead-free BGA balls on Au/electrolytic Ni/Cu bond pad / Shengquan Ou, Yuhuan Xn, K. N. Tu, M. O. Alam and Y. C. Chan -- Mechanisms of low-temperature Ti/Si-based wafer bonding / Jian Yu, Yinmin Wang, Arthur W. Haberl, Hassa Bakhru, Jian-Qiang Lu and Ronald J. Gutmann -- Effects of bonding process parameters on wafer-to-wafer alignment accuracy in benzocyclobutene (BCB) dielectric wafer bonding / F. Niklaus, R. J. Kumar, J. J. McMahon, J. Yu, T. Matthias, M. Wimplinger, P. Lindner, J.-Q. Lu, T. S. Cale and R. J. Gutmann -- Interconnects for elastically stretchable and deformable electronic surfaces / Joyelle Jones, S. P. Lacour and Sigurd Wagner.
ISBN
1558998160
OCLC
  • ocm61658459
  • SCSB-5223859
Owning Institutions
Columbia University Libraries